「Icarus Verilog」+「IVI」の使い勝手を試す ――波形表示GUI付きのフリー・シミュレータ

竹本 悟

tag: 組み込み

技術解説 2005年6月24日

2)Windows版の場合

 Windows版の場合は,あらかじめ用意されているバッチ・ファイル(doファイル)を開くだけでrisc_core.vvpが生成され,シミュレーションを実行できます.具体的には,メニュー・バーから「File」→「Open」→「Command File」を選択し,examples\verilog\miniriscフォルダ内のminirisc_icarus_runtest.doを開きます注9.doファイルはシミュレーション用(minirisc_icarus_runtest.do)と波形表示用(minirisc_icarus_wave.do)に分かれていますが,シミュレーション用のdoファイルを実行すれば,その中で波形表示のdoファイルも呼び出します.

 注9;ただし,doファイルを開く前に,あらかじめIVIのコマンド・ウィンドウで「cd examples/verilog/minirisc」などのコマンドを入力し,現在のディレクトリ位置をexamples\verilog\miniriscまで移動しておく必要がある.

組み込みキャッチアップ

お知らせ 一覧を見る

電子書籍の最新刊! FPGAマガジン No.12『ARMコアFPGA×Linux初体験』好評発売中

FPGAマガジン No.11『性能UP! アルゴリズム×手仕上げHDL』好評発売中! PDF版もあります

PICK UP用語

EV(電気自動車)

関連記事

EnOcean

関連記事

Android

関連記事

ニュース 一覧を見る
Tech Villageブログ

渡辺のぼるのロボコン・プロモータ日記

2年ぶりのブログ更新w

2016年10月 9日

Hamana Project

Hamana-8最終打ち上げ報告(その2)

2012年6月26日