無償ツールで実践する「ハード・ソフト協調検証」(6) ―― 協調シミュレーションを実行する

Verification Enginnerの戯言

tag: 半導体

技術解説 2010年1月15日

 次は,このavalon_cpuモジュールをSOPC Builderのライブラリとして登録します.

 図17のSystem Contentsタグを選択し,「Project」の下の「New component」をマウスでクリックします.そして,右下の[Add]ボタンを押します.


図17 新しいコンポーネントの追加

 

 図18のようなウィンドウが表示されるので,下の[Next]ボタンをクリックします.


図18 Component EditorのIntroduction


 

 図19の画面が表示されるので,[Add]ボタンをクリックしてavalon_cpu.svファイルを選択します.


図19 Component EditorのHDL Files

 

 

 ファイルをチェックし終えると,図20のようなウィンドウが表示されます.[Close]ボタンをクリックし,そのあと[Next]ボタンをクリックします.


図20 avalon_cpu.svの解析結果


 

 すると,図21のウィンドウが表示されます.


図21 Component EditorのSignals

 

 ここでも[Next]ボタンをクリックすると,図22のウィンドウが表示されます.


図22 Component EditorのInterfaces

 

 

 また,ここでも[Next]ボタンをクリックすると,図23のウィンドウが表示されます.


図23 Component EditorのWizard

 

 ここで[Finish]ボタンをクリックすると,図24のメッセージが表示されます.[Yes, Save]ボタンをクリックして終了します.


図24 Save Changesのメッセージ

 

 

 この時点でavalon_cpu_hw.tclというファイルが生成され,このファイルがSOPC Builderへのライブラリ設定ファイルになります.ライブラリ化されると,図25のようにLibraryにavalon_cpuが登録されます.


図25 ライブラリとして登録されたavalon_cpu

 

 このavalon_cpuをAltera Avalon MM Master BFMの代わりに使った例が図26になります.



図26 Altera Avalon MM Master BFMをavalon_cpuに置き換えた

 


(第7回へ続く)

Verification Enginnerの戯言
http://blogs.yahoo.co.jp/verification_engineer


組み込みキャッチアップ

お知らせ 一覧を見る

電子書籍の最新刊! FPGAマガジン No.12『ARMコアFPGA×Linux初体験』好評発売中

FPGAマガジン No.11『性能UP! アルゴリズム×手仕上げHDL』好評発売中! PDF版もあります

PICK UP用語

EV(電気自動車)

関連記事

EnOcean

関連記事

Android

関連記事

ニュース 一覧を見る
Tech Villageブログ

渡辺のぼるのロボコン・プロモータ日記

2年ぶりのブログ更新w

2016年10月 9日

Hamana Project

Hamana-8最終打ち上げ報告(その2)

2012年6月26日