本誌について

2013年2月13日

ハイエンド・ディジタル技術の専門誌「FPGAマガジン」

fpga_logo_256.gif

2013年4月25日創刊!

 

●創刊主旨

 FPGAが世の中に登場して20数年が経ちました.当初は価格も高くゲート規模も小さかったものが,半導体製造技術の進歩により,低価格化,大容量化,高機能化が進みました.
従来,ASICなどの試作開発やCPU周辺のグルーロジックをまとめる用途で使われていたFPGAは,大容量化により周辺機能をどんどん取り込み,SoC(System on a chip)を実現できるレベルに達しました.
そして今日,ARMコアを内蔵したFPGAが登場しました.デュアルコア/800MHzというハイパワー・プロセッサを内蔵し,ユーザの手元でSoCが1個からでも実現できる夢のデバイスとなりました.
2013年は個人レベルで,量産メーカに負けない高性能な機器を設計できる時代になります.FPGAマガジンは,ユニークでオリジナリティのある機器を設計するエンジニアを全面的に支援することを目的に,大容量化,高機能化したFPGAをいかに使いこなすかをハードウェア/ソフトウェアの両面から,応用事例を交え実践的に解説します.

●FPGAマガジンのコンセプト

1.高速シリアル・トランシーバ内蔵デバイスの活用

 ギガビットにも対応する高速シリアル・トランシーバを内蔵したデバイスが,低価格化しています.より安価な機器を実現するための使いこなし術を取り上げます.

2.IPコアの活用&高位設計言語の活用

 FPGAの大容量化で,大規模な回路の開発が可能になりました.いっぽうHDLですべてを記述するスタイルは限界に達しました.そこで既存の実績あるIPコアを利用したより抽象度の高い設計言語やツールを使いこなす方法を取り上げます.

3.ソフトウェアの重要性がアップ!

 ハードマクロによるCPUコアを内蔵したFPGAが続々と登場しています.MMUまで内蔵した高性能プロセッサなのでOSとしてLinuxが走ります.このようなFPGAを活用するために,Linux/Androidとの連携について積極的に取り上げます.

●編集部が想定する読者像

  • IPコア活用/高位合成などステップ・アップを目指す入門者
  • FPGAに興味はあるが,いまひとつ踏み出せないC言語エンジニア
  • プログラマブル・デバイスの導入方法や応用事例を探る中堅エンジニア

target_image.gif

 

●媒体概要

  • 誌名: FPGAマガジン
  • 創刊時期: 2013年4月25日
  • 発行形態: インターフェース増刊・季刊誌
  • 定価: 2,200円(税別)
  • 部数: 10,000部
  • 判型: B5判

 

メニュー